CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 乘法器 verilog

搜索资源列表

  1. GF_MUL

    0下载:
  2. Galois域乘法器的Verilog源码 广泛用于信道编码、计算机代数及椭圆曲线加密等-Galois field multipliers are widely used in the Verilog source channel coding, computer algebra and elliptic curve encryption
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-10
    • 文件大小:1333
    • 提供者:李映波
  1. 8bit_adder_AND_4x4_Multiplier

    0下载:
  2. 位加法器的verilog程序与4×4 乘法器的verilog描述-Verilog-bit adder of the procedures and 4 × 4 multiplier verilog descr iption! ! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:865
    • 提供者:mhb
  1. Common_multiplier_verilog_design

    0下载:
  2. 上传文件为:常用乘法器verilog设计.rar-Upload files as follows: common multiplier verilog design. Rar
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2432
    • 提供者:海天之洲
  1. Galois_field_multiplier_verilog_design

    0下载:
  2. 伽罗华域GF(q)乘法器verilog设计.rar-Galois field GF (q) multiplier verilog design.rar
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1597
    • 提供者:海天之洲
  1. Chapter1-5

    0下载:
  2. 第一章到第五章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1580139
    • 提供者:xiao
  1. Chapter11-13

    0下载:
  2. 第十一章到第十三章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:5088147
    • 提供者:xiao
  1. wallace

    2下载:
  2. wallace tree 用于16位乘法器的verilog 的 wallace tree代码 -wallace tree verilog file. 16bit wallace tree adder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1767
    • 提供者:Zachary
  1. CourseDesign

    0下载:
  2. 用Verilog实现一位原码浮点数乘法器,按照累加的方式,逐位相乘,再相加。-Verilog realization of an original code with floating point multiplier, in accordance with the cumulative way, bit by bit multiply, then add.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:246267
    • 提供者:李伟彬
  1. IIR_filter

    0下载:
  2. 本实例利用硬件乘法器实现一个IIR滤波器。文件包含实现的verilog代码。-The example used to implement a hardware multiplier IIR filter. File contains the implementation of the verilog code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1081061
    • 提供者:吴亮
  1. verilog

    0下载:
  2. Verilog学习例程:4位二进制数的乘法器、5分频器、8位数据寄存器、8位移位寄存器、边沿D触发起门级设计、边沿D触发器行为级设计、同步计数器、异步计数器-Verilog learning routines: 4-bit binary number multiplier, 5 dividers, 8-bit data registers, 8-bit shift register, edge-triggered D gate-level design, level design edge D
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1067292
    • 提供者:城管111
  1. multiplier

    0下载:
  2. 参数可配置的sequential 乘法器和booth 乘法器-verilog source code with configurable parameters for sequential multiplier and booth multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2207
    • 提供者:shuanghx
  1. mutiplyVerilog

    0下载:
  2. 常见的乘法器Verilog源代码及仿真结果-Common multiplier Verilog source code and simulation results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:271276
    • 提供者:蒋翔
  1. multiple

    0下载:
  2. 常用的乘法器Verilog程序,包括原理图和仿真图片。-Verilog multiple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:266165
    • 提供者:duwenjian
  1. mux16

    0下载:
  2. mux 乘法器 verilog ise xilinx-the mux multiplier Verilog ise xilinx
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:23392
    • 提供者:程国苗
  1. 8bits_multiplier

    0下载:
  2. 8×8乘法器Verilog源代码,初学者可以试着-8×8multiplying unit source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:27804
    • 提供者:libing
  1. 16mult

    0下载:
  2. verilog语言实现的16*16乘法器-verilog language 16* 16 multiplier
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-05
    • 文件大小:778
    • 提供者:371645042
  1. multiplier_interface

    0下载:
  2. verilog 写的工程,是个基于流水线的乘法器-verilog write the works, is based on a pipelined multiplier
  3. 所属分类:MiddleWare

    • 发布日期:2017-12-02
    • 文件大小:2903
    • 提供者:wns
  1. 8mutip

    0下载:
  2. verilog 八位 乘法器-verilog eight multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:259362
    • 提供者:jack
  1. verilog-codes-for-booth2

    1下载:
  2. 由verilog编写的采用booth2编码的16*16乘法器-a 16*16 multiplier with booth2 coding by verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:13618
    • 提供者:pyc
  1. leijiaqi

    0下载:
  2. verilog 语言描述的累加器和乘法器-verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1067
    • 提供者:罗华杰
« 1 2 3 45 6 7 8 9 10 11 »
搜珍网 www.dssz.com